Verbose information when hovering over outlets

I’m unreasonably excited to have both a fixed bug and a feature request up. I’ll review the help files and see where things can be improved, but – like you – I do appreciate it when hovering over an in/outlet tells me things.

There would be a Max-only kludge one could do, but I wouldn’t be able to get to that especially soon either.

However, if any readers who yearn for inlet / outlet assistance fancied putting in our first pull request, I’d be totally happy to help them through it. (Don’t worry Rod, I’m not expecting you to suddenly become enthusiastic about C++ :heart: )

1 Like